复制
收藏
提问
简洁

VCS 2020.12版本应该如何运行仿真并且dump波形

智慧工程师
1天前
全网
文档
学术
百科
知识库
时间不限
自动
回答简洁模式
深入研究一些

VCS 2020.12版本运行仿真并dump波形的方法如下:

运行仿真

  1. 编译源码

    vcs -sverilog +v2k +plusarg_save -ntb_opts uvm-1.1 \
        -f XXX/lib/vcs.f \
        +define+ASSERT_ON \
        -cm assert +define+COVER_ON \
        +define+XXX \
        +nospecify +lint=TFIPC-L +notimingcheck -debug_acc -j4 \
        -XgenLoopOpt=0x200 \
        -timescale=1ns/1fs +vcs+lic+wait -full64 +memcbk +vpi \
        -cm line+fsm+cond -cm tgl \
        -cm_hier ../cfg/rtl_vcm.cfg -cm_dir ./${mode}/cov/simv.vdb \
        -Mdir=./${mode}/exec/uvm_test_csrc \
        -l ./${mode}/log/uvm_test.cmp_log \
        -o ./${mode}/exec/uvm_test_simv \
        -f ../cfg/tb.f \
        -fsdb
    

    要点:

    • 使用-sverilog支持SystemVerilog。
    • +v2k启用Verilog 2001标准。
    • -f指定文件列表。
    • -o生成可执行文件。
    • -fsdb启用FSDB波形dump。
  2. 运行仿真

    ./${mode}/exec/uvm_test_simv
    

Dump波形

在testbench中添加以下系统任务以dump FSDB波形:

initial begin
    $fsdbAutoSwitchDumpfile(1000, "./xxx.fsdb", 200);
    $fsdbDumpvars(0, xxx_top); // 0表示所有层次都dump,从xxx_top开始dump
    #0 $fsdbDumpon;            // 开始dump时间
    #50000000 $fsdbDumpoff;    // 结束dump时间
end

要点:

  • $fsdbAutoSwitchDumpfile设置自动切换dump文件。
  • $fsdbDumpvars指定dump的层次和模块。
  • $fsdbDumpon$fsdbDumpoff控制dump的开始和结束时间。

通过以上步骤,可以在VCS 2020.12版本中成功运行仿真并dump FSDB波形。101112

你觉得结果怎么样?
VCS仿真器有哪些常用功能?
如何选择合适的仿真工具?
仿真工具对设计验证的影响?
VCS 2020.12版本如何编译源码?
如何在testbench中控制dump波形?
VCS 2020.12版本支持哪些仿真选项?

以上内容由AI搜集生成,仅供参考

在线客服