复制
收藏
提问
简洁
VCS 2020.12版本应该如何运行仿真并且dump波形
1天前
全网
文档
学术
百科
知识库
回答简洁模式
深入研究一些
VCS 2020.12版本运行仿真并dump波形的方法如下:
运行仿真
-
编译源码:
vcs -sverilog +v2k +plusarg_save -ntb_opts uvm-1.1 \ -f XXX/lib/vcs.f \ +define+ASSERT_ON \ -cm assert +define+COVER_ON \ +define+XXX \ +nospecify +lint=TFIPC-L +notimingcheck -debug_acc -j4 \ -XgenLoopOpt=0x200 \ -timescale=1ns/1fs +vcs+lic+wait -full64 +memcbk +vpi \ -cm line+fsm+cond -cm tgl \ -cm_hier ../cfg/rtl_vcm.cfg -cm_dir ./${mode}/cov/simv.vdb \ -Mdir=./${mode}/exec/uvm_test_csrc \ -l ./${mode}/log/uvm_test.cmp_log \ -o ./${mode}/exec/uvm_test_simv \ -f ../cfg/tb.f \ -fsdb
要点:
- 使用
-sverilog
支持SystemVerilog。 +v2k
启用Verilog 2001标准。-f
指定文件列表。-o
生成可执行文件。-fsdb
启用FSDB波形dump。
- 使用
-
运行仿真:
./${mode}/exec/uvm_test_simv
Dump波形
在testbench中添加以下系统任务以dump FSDB波形:
initial begin
$fsdbAutoSwitchDumpfile(1000, "./xxx.fsdb", 200);
$fsdbDumpvars(0, xxx_top); // 0表示所有层次都dump,从xxx_top开始dump
#0 $fsdbDumpon; // 开始dump时间
#50000000 $fsdbDumpoff; // 结束dump时间
end
要点:
$fsdbAutoSwitchDumpfile
设置自动切换dump文件。$fsdbDumpvars
指定dump的层次和模块。$fsdbDumpon
和$fsdbDumpoff
控制dump的开始和结束时间。
你觉得结果怎么样?